2011-12-07から1日間の記事一覧

GHDL用Makefile

GHDL用の簡単なMakefile書いてみたので,メモとして書いておきます. makeでコンパイル,make runで実行,make waveで波形ファイルを生成,make showでファイルを表示です. SOURCE = registor.vhd registor_test.vhd TEST_MODULE = testbench WAVE_FILE = $…