GHDL用Makefile

GHDL用の簡単なMakefile書いてみたので,メモとして書いておきます.
makeでコンパイル,make runで実行,make waveで波形ファイルを生成,make showでファイルを表示です.

SOURCE = registor.vhd registor_test.vhd
TEST_MODULE = testbench
WAVE_FILE = $(TEST_MODULE).vcd

GHDL = ghdl
RM = rm 
WAVE_VIEWER = gtkwave

all : $(TEST_MODULE)

run : $(TEST_MODULE)
	$(GHDL) -r $(TEST_MODULE)

wave : $(WAVE_FILE)

show : $(WAVE_FILE)
	$(WAVE_VIEWER) $(WAVE_FILE)

$(TEST_MODULE) : $(SOURCE)
	$(GHDL) -a $(SOURCE)
	$(GHDL) -e $(TEST_MODULE)

$(WAVE_FILE) : $(TEST_MODULE)
	$(GHDL) -r $(TEST_MODULE) --vcd=$(WAVE_FILE)

clean :
	$(RM) $(TEST_MODULE)