ghdlでコンパイル,シュミレート

$ghdl -a ha.vhd ha_test.vhd # アナライズ
$ghdl -e TestBench # エラボレート
$ghdl -r testbench # 実行
$ghdl -r testbench --vcd=testbench.vcd # 実行, 波形出力
$gtkwave testbench.vcd # 波形表示

追記
vcdファイルのオプションがvcdになっていたので--vcdに修正